CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl CLOCK

搜索资源列表

  1. 分频器VHDL描述

    0下载:
  2. 在数字电路中,常需要对较高频率的时钟进行分频操作,得到较低频率的时钟信号。我们知道,在硬件电路设计中时钟信号时非常重要的。-in digital circuits, the need for regular high frequency clock operating frequency for hours, a lower frequency of the clock signal. We know that the hardware circuit design clock signal i
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5460
    • 提供者:王力
  1. 8倍频vhdl

    3下载:
  2. 该文件可用vhdl语言实现时钟8倍频,运行环境可在maxplus2和ise的仿真软件上-the document available VHDL Language 8 clock frequency, the operating environment and ideally maxplus2 simulation software
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:998
    • 提供者:罗兵武
  1. muxplusii --vhdl 经典程序

    0下载:
  2. 用VHDL编写的数字时钟,可变宽度脉冲产生器-prepared using VHDL digital clock, Variable width pulse generator, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8965
    • 提供者:vhdp
  1. CK20-VHDL

    0下载:
  2. 经典CK20时钟程序,实现了时钟的时,分,秒记数,并可以重调,置0-classic procedures CK20 clock and realized the clock, minute and second count, and can be re-emphasize that the Home 0
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3884
    • 提供者:林海
  1. clockbyvhdl

    0下载:
  2. 在xilinx的ise环境下用vhdl编写的一个时钟程序。-in the environment and ideally with the preparation of a VHDL clock procedures.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:27680
    • 提供者:马永涛
  1. VHDL.sheji.2

    0下载:
  2. 电子时钟VHDL程序与仿真 10进制计数器设计与仿真 6进制计数器设计与仿真-electronic clock procedures and VHDL simulation Decimal counter design and simulation of six NUMBER Design and Simulation
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:59453
    • 提供者:少龙
  1. LED.VHDL

    0下载:
  2. LED控制VHDL程序与仿真 分别介绍采用FPGA对LED进行静态和动态显示的数字时钟控制程序-LED control procedures and VHDL simulation briefed on the use of FPGA LED static and dynamic significantly the figures show clock control procedures
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5583
    • 提供者:少龙
  1. UP3_CLOCK

    0下载:
  2. 用vhdl编写的时钟 主要实现了时钟功能时间调教功能有待实现 -prepared using VHDL clock main function of clock time tuning function to be achieved
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2225
    • 提供者:yuxulin
  1. VHDL

    0下载:
  2. vhdl 电子时钟源代码 全部。 所有模块 的设计 及其代码描述。 可以用来参考设计,使用-vhdl clock Electronic clock all the source code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3814485
    • 提供者:喜欢
  1. vhdl-clock-with-vga-output-for-Nexys-2

    0下载:
  2. Vhdl code for a working digital clock which can be displayed on a vga screen. The clock can be set using a single pushbutton. This project was written for nexys 2 board but can be easily ported to any other fpga using vhdl.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:28420
    • 提供者:hatsjoe
  1. clock

    0下载:
  2. 这个程序的主要内容是基于VHDl的时钟方面的编程设计-The main content of this program is based VHDl clock programming design
  3. 所属分类:Communication

    • 发布日期:2017-11-08
    • 文件大小:795863
    • 提供者:jhg ghj
  1. VHDL Digital Clock

    0下载:
  2. A digital stop watch designed in VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2014-06-08
    • 文件大小:5081
    • 提供者:bbosadeo
  1. VHDL-clock

    0下载:
  2. 用VHDL写的数字钟程序,能够实现显示时分秒,时间可以调节,还能设定闹钟-Written in VHDL,the digital clock procedures can display every minute, the time can be adjusted, but also to set the alarm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1455270
    • 提供者:Brriot
  1. clock

    0下载:
  2. there's a clock divider for DE2 altra board clock (50MHz)
  3. 所属分类:其他

    • 发布日期:2017-12-24
    • 文件大小:623616
    • 提供者:hosseinkhani
  1. clock

    0下载:
  2. 数字钟可以实现整点响铃,预置数,十二小时24小时切换(Digital clock can achieve the whole point of the bell)
  3. 所属分类:其他

  1. world-clock

    0下载:
  2. 世界时钟,用vhdl语言编辑的一个世界时钟,基本入门编程(World clock, using a VHDL language editor of a world clock, basic entry programming)
  3. 所属分类:其他

    • 发布日期:2017-12-18
    • 文件大小:4931584
    • 提供者:Songlin
  1. clock

    0下载:
  2. 数字时钟,用VHDL语言设计,能调时间,整点响铃(Digital clock, designed in VHDL language, can adjust the time, the whole bell ring)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:137216
    • 提供者:liujhliujp81
  1. clock

    0下载:
  2. VHDL实现时钟功能,异步清零,其余161实现技术功能。(VHDL realizes clock function, asynchronous zero, and the other 161 technology functions.)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-01-10
    • 文件大小:203776
    • 提供者:厚德求真
  1. clock

    0下载:
  2. 用VHDL完成的数字钟设计。可选24h与12h两种时制,运用到按键消抖。(The digital clock is designed with VHDL. Optional 24h and 12h two kinds of time system, apply to the button to shake.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-19
    • 文件大小:20480
    • 提供者:redsoul丶
  1. vhdl编程电子钟

    0下载:
  2. 实现24小时,可以整点报时的电子钟,使用TEC-8实验台(An electronic clock that can be used for 24 hours, using the TEC-8 test platform)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:1196032
    • 提供者:科比的
« 1 2 3 45 6 7 8 9 10 ... 48 »
搜珍网 www.dssz.com